FPGA活用チュートリアル 定期購読・最新号・バックナンバー

FPGA活用チュートリアルの詳細を表示しています。最新号やバックナンバーは公式サイトにてご確認下さい。

FPGA活用チュートリアル雑誌の詳細です。


FPGA活用チュートリアルの公式サイトへ
本・雑誌 FPGA活用チュートリアル
本・雑誌内容
本・雑誌内容詳細 FPGA設計の経験をお持ちの方が,さらに大規模,高性能なFPGAを活用していくために必要な技術をまとめました.
 トレンド編では,近年増えてきた低価格FPGAボードのレビューや,注目されている設計技術,新デバイスについて取り上げます.専用機能ブロック活用編では,最近のFPGAに搭載される基本論理ブロックのほかにメモリとDSP(Digital Signal Processing)などの専用機能ブロックの使い方を詳しく解説します.また,CPUコア活用編では,ARM Cortex-A9 MPcore搭載FPGAを想定した設計・検証技術についても解説します.
 2010年5月1日発行の「FPGA活用チュートリアル2010/2011年版」を最新の動向に合わせて全面刷新しました.
目次

トレンド
第1章 低コスト評価ボードの活用法-Xilinx編
第2章 低コスト評価ボードの活用法-Altera編
第3章 低コスト評価ボードの活用法-Lattice Semiconductor編
第4章 低消費電力化が進むFPGAのデバイス技術
第5章 設計の工夫による消費電力の削減
第6章 設計ツールの最適化機能による消費電力の削減
第7章 部分再構成技術の特徴と高信頼化システムへの応用
第8章 低消費電力のプログラマブル・デバイスMPLD

専用機能ブロック活用
第9章 FPGAの専用機能ブロックの特徴
 Appendix 1 ついに来た!アナログ機能のハード・マクロ化
第10章 メモリ・ブロックの活用法
第11章 DSPブロックの活用法
第12章 MachXO2の組み込み機能ブロックの活用法
第13章 LatticeECP4のハード・マクロの活用法

CPUコア活用
第14章 ARM Cortex-A9 MPCore搭載FPGAを活用するための基礎知識
第15章 AXIバス・ファンクショナル・モデルの活用法
第16章 Altera社のシステム統合ツールQsysの活用法
プロダクトNo 1281693685
出版社 CQ出版.
発売日 毎月A日
販売サイト >>>公式サイトはこちら
本の定期購読をしてみると新しい世界が開けてきます。毎月本屋に足を運ばなくてもいいし、買い忘れもなくなる。そして届く喜びが味わえます。会社、お店に雑誌を置いてお客様の満足度を高めるという やり方もありそういったところで定期購読がされていたりします。美容室においたりするのには持ってこいですね。
フジサンのサービスは長く続いているので安心です。老舗と言った感じでしょうか、しっかりしている会社での購入のほうが安心ですね。

※リンク先は雑誌の定期購読販売サイトの/~\Fujisan.co.jp(フジサン)へのリンクとなります。雑誌の画像や、内容の詳細などはデータを引用させていただいております。